Making Modern Memory Controllers Programmable Improves Their Versatility and Efficiency. However, the Stringent Latency and Throughput Requirements of Modern

نویسندگان

  • DDRX DOUBLE
  • Mahdi Nazm Bojnordi
  • Engin Ipek
چکیده

......The off-chip memory subsystem is a significant performance, power, and quality-of-service (QoS) bottleneck in modern computers, necessitating a high-performance memory controller that can overcome DRAM (dynamic randomaccess memory) timing and resource constraints by orchestrating data movement between the processor and main memory. Contemporary DDRx (double data rate memory interface technology) memory controllers implement sophisticated address mapping, command scheduling, power management, and refresh algorithms to maximize system throughput and minimize DRAM energy, while ensuring that system-level QoS targets and real-time deadlines are met. The conflicting requirements imposed by this multiobjective optimization, compounded by diversity in both workload and memory system characteristics, make high-performance memory controller design a significant challenge. A promising way of improving the versatility and efficiency of a memory controller is to make it programmable—a proven technique that has seen wide use in other control tasks ranging from direct memory access (DMA) scheduling to NAND flash and directory control. In these and other architectural control problems, programmability allows the processor designers to customize the controller on the basis of system requirements and performance objectives, perform in-field firmware updates to the controller, and set up applicationspecific control policies. Unfortunately, the stringent latency and throughput requirements of modern DDRx devices have rendered such programmability largely impractical, confining DDRx controllers to fixed-function hardware. As a result, contemporary memory controllers are invariably confined to implementing DRAM control policies in hardwired, fixed-function hardware blocks. Pardis (programmable architecture for the DDRx interfacing standards) is the first programmable memory controller that provides sufficiently high performance to make the firmware implementation of DDRx control policies practical. Pardis divides the tasks associated with high-performance DRAM control among a request processor, a transaction processor, and dedicated command logic. The request and transaction processors mmi2013030106.3d 15/5/013 16:20 Page 106

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Discourse on Extending Embedded Medical Image Processing Systems Using the High Speed Serial RapidIO Interconnect

In this paper we study the possibilities offered by relatively new embedded systems interconnect technologies to extend and improve existing processing frameworks. We have chosen medical image processing applications for our research due to their rigid requirements, such as very high fault-tolerance, stringent real-time and demanding computational power. We present an approach of how to design ...

متن کامل

A Cost Model for Data Stream Processing on Modern Hardware

For stream processing application domains, using queries to process or analyze data incoming from potentially endless streams, low latency and high throughput are key requirements. It is not easy to achieve this as many factors influence the actual runtime of query execution plans and one can not measure all of them individually. Therefore, query optimizers try to overcome this hurdle by using ...

متن کامل

Using Embedded Network Processors to Implement Global Memory Management in a Workstation Cluster

Advances in network technology continue to improve the communication performance of workstation and PC clusters, making high-performance workstation-cluster computing increasingly viable. These hardware advances, however, are taxing traditional host-software network protocols to the breaking point. A modern gigabit network can swamp a host’s IO bus and processor, limitingcommunication performan...

متن کامل

miNI : Minimizing Network Interface Memory Requirements with Dynamic Handle Lookup

miNI: Minimizing Network Interface Memory Requirements with Dynamic Handle Lookup Reza Azimi Masters of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto 2002 Recent work in low-latency, high-bandwidth communication systems has resulted in building Network Interface Controllers (NIC) and communication abstractions that support direct access from th...

متن کامل

A rule-based evaluation of ladder logic diagram and timed petri nets for programmable logic controllers

This paper describes an evaluation through a case study by measuring a rule-based approach, which proposed for ladder logic diagrams and Petri nets. In the beginning, programmable logic controllers were widely designed by ladder logic diagrams. When complexity and functionality of manufacturing systems increases, developing their software is becoming more difficult. Thus, Petri nets as a high l...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2014